设计一个同步22进制计数器,用VHDL语言,计数时,个位为10进制计数,十位为2进制计数,宾且个位计满9向十位进位,当个位=1,十位=2时,计数器 复位

来源:学生作业帮助网 编辑:作业帮 时间:2024/04/20 07:00:48

设计一个同步22进制计数器,用VHDL语言,计数时,个位为10进制计数,十位为2进制计数,宾且个位计满9向十位进位,当个位=1,十位=2时,计数器 复位
设计一个同步22进制计数器,用VHDL语言,
计数时,个位为10进制计数,十位为2进制计数,宾且个位计满9向十位进位,当个位=1,十位=2时,计数器 复位

设计一个同步22进制计数器,用VHDL语言,计数时,个位为10进制计数,十位为2进制计数,宾且个位计满9向十位进位,当个位=1,十位=2时,计数器 复位
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count_22 is
port(clk,reset:in std_logic;
ten_put:out std_logic_vector(1 downto 0);
one_put:out std_logic_vector(3 downto 0));
end entity;
architecture art of count_22 is
begin
process(clk,reset)
variable ten_data:std_logic_vector(1 downto 0);
variable one_data:std_logic_vector(3 downto 0);
begin
if reset='1' then
ten_data:="00";one_Data:="0000";
elsif clk'event and clk='1' then
if ten_data="10" then
if one_data="0010" then
ten_data:="00";
one_data:="0000";
else one_data:=one_data+'1';
end if;
elsif one_data="1001" then
ten_data:=ten_data+'1';
one_data:="0000";
else one_data:=one_data+'1';
end if;
end if;
end process;
end art;

设计一个同步22进制计数器,用VHDL语言,计数时,个位为10进制计数,十位为2进制计数,宾且个位计满9向十位进位,当个位=1,十位=2时,计数器 复位 用VHDL语言设计编写一个异步清零的模9计数器 怎样用VHDL设计含有异步清零和同步时钟使能的10位加法计数器 用JK触发器设计一个二进码三进制的同步减法计数器,画出逻辑图! 用74LS161四位同步二进制加法计数器的异步清零功能设计一个十进制计数器用74LS161四位同步二进制加法计数器的异步清零功能及74ls20设计一个十进制计数器 怎样用74ls161设计一个24进制的计数器 数字电路实验 JK触发器和门电路设计计数器RT,是同步计数器的设计,需要设计一个12进制的计数器,即从01——>12再返回01,如此循环,希望有人能帮助提供一下思路分析,并给出电路图参考, 60进制减法计数器的VHDL描述急…… 1、采用置数法或置0法,用集成同步十进制计数器74160和必要的逻辑门构成6进制计数器,画出接线图和状设计题1、采用置数法或置0法,用集成同步十进制计数器74160和必要的逻辑门构成6进制计数 如何用74ls161实现23进制计数器要用同步级联,反馈清零法如题. 运用VHDL设计1个模为24的8421BCD码加法计数器 用ct74161采用异步置零法设计一个13进制的计数器 可以附加必要的门电路 请帮我用Verilog设计一个计数器计数范围:271异步清零同步置位功能同步预置数功能计数使能功能加减计数功能当为加法计数器时,要有溢出进位当为减法计数器时,要有借位标志whenReset =0, out= 以同步二进制计数器74161为核心设计一个模十计数器!谢谢各位大侠!很急的!以同步二进制计数器74161为核心设计一个模十计数器!要求计数器按下列规律计数0,1,3,5,7,9,2,4,6,8,0,1,3.并要求1:具有 数电实验:设计一个10进制计数器,起始值是21,利用74ls160 如何用与非门和74LS161设计一个60进制计数器? 出租车计价器设计最好用VHDL编写 出租车计价器设计要求用VHDL编写