Error (10327):VHDL error at xd.vhd(17):can't determine definition of operator ""+"" -- found 0 pos初学VHDL~LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY xd ISPORT (sel :IN std_logic;d0,d1 :IN std_logic;led :OUT std_logic);END ENTITY xd;ARCHITECTU

来源:学生作业帮助网 编辑:作业帮 时间:2024/04/29 06:06:25

Error (10327):VHDL error at xd.vhd(17):can't determine definition of operator ""+"" -- found 0 pos初学VHDL~LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY xd ISPORT (sel :IN std_logic;d0,d1 :IN std_logic;led :OUT std_logic);END ENTITY xd;ARCHITECTU
Error (10327):VHDL error at xd.vhd(17):can't determine definition of operator ""+"" -- found 0 pos
初学VHDL~
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY xd IS
PORT (sel :IN std_logic;
d0,d1 :IN std_logic;
led :OUT std_logic);
END ENTITY xd;
ARCHITECTURE abc OF xd IS
signal num0 :std_logic_VECTOR(7 DOWNTO 0);
signal num :std_logic_VECTOR(7 DOWNTO 0);
BEGIN
num0

Error (10327):VHDL error at xd.vhd(17):can't determine definition of operator ""+"" -- found 0 pos初学VHDL~LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY xd ISPORT (sel :IN std_logic;d0,d1 :IN std_logic;led :OUT std_logic);END ENTITY xd;ARCHITECTU
第二行添加
USE IEEE.STD_LOGIC_UNSIGNED.ALL;