在EDA中,格雷码与二进制码互相转换,我这个程序有什么问题?use ieee.std_logic_1164.all;entity zhuanhuan is\x05port(ain:in std_logic_vector(4 downto 0);\x05\x05\x05b:in bit;\x05\x05\x05cout:out std_logic_vector(4 downto 0));end enti

来源:学生作业帮助网 编辑:作业帮 时间:2024/04/30 20:05:50

在EDA中,格雷码与二进制码互相转换,我这个程序有什么问题?use ieee.std_logic_1164.all;entity zhuanhuan is\x05port(ain:in std_logic_vector(4 downto 0);\x05\x05\x05b:in bit;\x05\x05\x05cout:out std_logic_vector(4 downto 0));end enti
在EDA中,格雷码与二进制码互相转换,我这个程序有什么问题?
use ieee.std_logic_1164.all;
entity zhuanhuan is
\x05port(ain:in std_logic_vector(4 downto 0);
\x05\x05\x05b:in bit;
\x05\x05\x05cout:out std_logic_vector(4 downto 0));
end entity zhuanhuan;
architecture one of zhuanhuan is
signal co:std_logic_vector(4 downto 0);
signal bb:std_lgoic;
begin
p1:
\x05process(a)
\x05begin
\x05\x05co(4)

在EDA中,格雷码与二进制码互相转换,我这个程序有什么问题?use ieee.std_logic_1164.all;entity zhuanhuan is\x05port(ain:in std_logic_vector(4 downto 0);\x05\x05\x05b:in bit;\x05\x05\x05cout:out std_logic_vector(4 downto 0));end enti
use ieee.std_logic_1164.all;
entity zhuanhuan is
\x09port(ain:in std_logic_vector(4 downto 0);
\x09\x09\x09b:in bit;
\x09\x09\x09cout:out std_logic_vector(4 downto 0));
end entity zhuanhuan;
architecture one of zhuanhuan is
signal co:std_logic_vector(4 downto 0);
signal bb:std_lgoic;
begin
p1:
\x09process(a)
\x09begin
\x09\x09co(4)